evtest

Langue: en

Autres versions - même langue

Version: 251485 (debian - 07/07/09)

Section: 1 (Commandes utilisateur)

NAME

evtest - Event device test program

SYNOPSIS

evtest /dev/input/eventX

DESCRIPTION

evtest allows you to see the event layer events your keyboard is generating. This is for debugging to help you determine if indeed your keyboard is sending events, and which events it is sending. It uses the new event layer.

SEE ALSO

send_to_keyboard(8), lineakd(1).

AUTHOR

evtest was written by Vojtech Pavlik <vojtech@suse.cz>.